chapter twelve

Generic Constants

The models that we have used as examples in preceding chapters all have fixed behavior and structure. In many respects, this is a limitation, and we would like to be able to write more general, or generic, models. VHDL-AMS provides us with a mechanism, called generics, for writing parameterized models. We discuss generics in this chapter and show how they may be used to write families of models with varying behavior and structure.

12.1 Parameterizing Behavior

We can write a generic entity by including a generic interface list in its declaration that defines the formal generic constants that parameterize the entity. The extended syntax rule for entity declarations including generics is

The difference between ...

Get The System Designer's Guide to VHDL-AMS now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.