12  VHDL-Syntaxübersicht und Bibliotheken

Auf den nachfolgenden Seiten wird eine englischsprachige Übersicht der VHDL-Syntax reproduziert, die von der Fa. Qualis Design Corporation zusammengestellt [58] wurde. Außerdem finden sich Übersichten dieser Firma zu den nachfolgenden Bibliotheken:

–    IEEE’s STD_LOGIC_1164

–    IEEE’s NUMERIC_STD

–    IEEE’s NUMERIC_BIT

–    Synopsys’ STD_LOGIC_ARITH

–    Synopsys’ STD_LOGIC_UNSIGNED

–    Synopsys’ STD_LOGIC_SIGNED

–    Synopsys’ STD_LOGIC_MISC

–    Cadence’s STD_LOGIC_ARITH

–    Mentor’s STD_LOGIC_ARITH

Eine deutschsprachige VHDL-Syntaxbeschreibung im PDF-Format findet sich im Internet unter dem URL: http://users.etech.haw-hamburg.de/users/schubert/vorles.html.

VHDL QUICKREFERENCE CARD

   Revision ...

Get VHDL-Synthese, 7th Edition now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.