6.3. Diamond Standard Series Feature Summary

All Diamond Standard Series processor cores share a common base of 16- and 24-bit instructions. Some Diamond processor cores add 64-bit, VLIW-style instructions. Tensilica’s VLIW capability—called FLIX (flexible-length instruction extensions)—allows some of the Diamond Standard Series processor cores to issue and execute multiple independent operations per instruction. This feature significantly boosts application performance. Various key features of the Diamond Standard Series processor cores appear in Table 6.2.

Table 6.2. Significant Diamond Standard Series processor core features
Specialized functional units (not on every Diamond Standard Series processor core) Multipliers, 16-bit MAC, SIMD, VLIW ...

Get Designing SOCs with Configured Cores now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.