Bei einer Gray“-Codierung [40] unterscheiden sich benachbarte Codeworte nur in einem Bit. Diese Codierungsart ist für Schaltwerke geeignet, die aus einem Zustand in wenige Folgezustände verzweigen. Dies wäre für unser Beispielschaltwerk zwar gegeben, da es aus jedem Zustand nur ein Folgezustand gibt, dennoch ist die One-Hot-Codierung für unser Beispiel die beste Codierung. Bei der Gray-Codierung wird vom Synthesewerkzeug folgende Funktion für das ÜSN realisiert: q + [ 1 ]= q[ 1 ] ¯ q[ 0 ]und q + [ 0 ]= q[ 1 ] ¯ .

Tabelle 4.7: Syntheseergebnisse

Das Schaltwerk des Beispielprozessors ist natürlich relativ klein und in den Zuständen ...

Get FPGA Hardware-Entwurf, 4th Edition now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.