[bib13_biblio01] [1] G. Chen, H. Chen, M. Haurylau, N. A. Nelson, D. H. Albonesi, P. M. Fauchet, and E. G. Friedman, “On-chip copper-based vs. optical interconnects: Delay uncertainty, latency, power, and bandwidth density comparative predictions,” in Proceedings of the IEEE International Interconnect Technology Conference, June 2006, pp. 39–41.

[bib13_biblio02] [2] W. Steinhogl et al., “Size-dependent resistivity of metallic wires in the mesoscopic range,” Physical Review B, 66, 2002, 075414.

[bib13_biblio03] [3] N. Srivastava and K. Banerjee, “Performance analysis of carbon nanotube interconnects for VLSI applications,” in Proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2005, pp. 383–390.

[bib13_biblio04] [4] ...

Get On-Chip Communication Architectures now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.