Chapter 2. Hierarchical Modeling Concepts

Before we discuss the details of the Verilog language, we must first understand basic hierarchical modeling concepts in digital design. The designer must use a “good” design methodology to do efficient Verilog HDL-based design. In this chapter, we discuss typical design methodologies and illustrate how these concepts are translated to Verilog. A digital simulation is made up of various components. We talk about the components and their interconnections.

Learning Objectives

  • Understand top-down and bottom-up design methodologies for digital design.

  • Explain differences between modules and module instances in Verilog.

  • Describe four levels of abstraction—behavioral, data flow, gate level, and switch level—to represent ...

Get Verilog® HDL: A Guide to Digital Design and Synthesis, Second Edition now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.