Chapter 4. Test Bench Organization and Design

Anatomy of a Test Bench and a Test Environment

To simulate a design, an external apparatus called a test bench is often required to mimic the environment in which the design will reside. Among other functionality, the main purpose of a test bench, written in HDL, is to supply input waveforms to the design and to monitor its response. Because a test bench is not manufactured as the design, it has far fewer coding style restrictions. Together with ...

Get Hardware Design Verification: Simulation and Formal Method-Based Approaches now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.