5.4. Low-Power Design Tools

Numerous EDA tools are available to help IC designers achieve low-power designs. These tools are classified into two main categories:

  • Power-analysis and power-estimation tools

  • Power-optimization tools

Power-estimation tools estimate the power of a specific design by identifying its high power consuming modules at early stages of the design. These tools give IC designers the ability to make high-level design decisions to reduce power or leave the design untouched based on a set of specific power constraints.

Power-optimization tools come into play after the decision is made by IC designers to reduce the power. These tools automatically implement appropriate power-minimization techniques discussed in earlier sections ...

Get From ASICs to SOCs: A Practical Approach now with the O’Reilly learning platform.

O’Reilly members experience books, live events, courses curated by job role, and more from O’Reilly and nearly 200 top publishers.